CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - uart VHDL

搜索资源列表

  1. UART_vhdl

    0下载:
  2. 非常经典的VHDL编写的UART软件核,支持8位/16位通信-UART S-Communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:405179
    • 提供者:张立洁
  1. FT2232H_USB_Core

    5下载:
  2. 在FPGA外扩用FT2232 实现UART TO USB 2.0 的通信。-The FT2232H is a USB2.0 Hi-Speed USB Device to FIFO IC. This core allows the use of this chip with an FGPA design in high speed FT245 style synchronous FIFO mode. Data rates up to 25 mbytes/s can be achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-11
    • 文件大小:6144
    • 提供者:李涛
  1. gh_uart_16550_072108

    0下载:
  2. UART(通用串行收发器)的VHDL源代码,适合硬件工程师在FPGA内部实现多个UART-UART (universal serial transceivers), VHDL source code for hardware engineers in the FPGA to achieve multiple internal UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:16775
    • 提供者:彭涛
  1. UART

    0下载:
  2. VHDL语言写的串口发送、接收程序,根据晶振和相应的波特率修改分频器就可以实现!-Written in VHDL serial send, receive, process, according to crystal and the corresponding baud rate divider changes can be achieved!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2369
    • 提供者:康丙寅
  1. ASIC_VHDL_FPGA_design_lectureNotes

    0下载:
  2. 这是美国普渡大学(Purdue University West Lafayette)ASIC design 的课件完整版!带事例和讲解的非常好的VHDL学习材料!含有vhdl 基础知识,设计步骤,UART, RTL,Test Bench 以及测试和调试,DEBUG等各种VHDL设计者必学知识!-This is Purdue University (USA) ECE 337 ASIC design class lecture notes! very classic! The content inc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10332490
    • 提供者:zhou
  1. Micro_uart

    0下载:
  2. Micro-uart source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1464976
    • 提供者:Huang
  1. uart

    0下载:
  2. FPGA基于串口指令的多电机闭环调速系统-FPGA based multi-port instruction Motor Closed Loop System
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2140963
    • 提供者:ace
  1. FPGA-UART

    0下载:
  2. 该资料是实现VHDL的串口通信(UART),RS232接口协议,-VHDL implementation of serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2088292
    • 提供者:lp
  1. UART

    0下载:
  2. 串口VHDL程序,Xilinxṩ 测试成功。-Serial VHDL program, Xilinxṩ test was successful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11651
    • 提供者:weixin
  1. uart

    0下载:
  2. UART vhdl code,recive data from uart port on fpga board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1462
    • 提供者:grules
  1. uart

    0下载:
  2. 一个简单的UART程序,包括接收,发送,波特率产生-A simple UART program, including receiving, sending, baud rate generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5412
    • 提供者:洪琳琅
  1. UART

    0下载:
  2. xilinx官网提供的VHDL,UART串行通信模块,肯定好用,官方提供-xilinx official website provides VHDL, UART, FPGA communication module is certainly easy to use, official
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10236
    • 提供者:雪尘
  1. FPGA_UART

    0下载:
  2. 用Verilog语言实现的FPGA UART独立收发模块 思路简单,代码简洁。在Lattice LFE3EA VERSA开发板上验证通过,编译器Lattice Diamond. 功能:串口收到数据后立即回传,此后每一秒串口数据+1再发送。-Using Verilog language independent of FPGA UART transceiver idea is simple, concise code. Development board in Lattice LFE3EA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2838
    • 提供者:朱强光
  1. altera-uart

    0下载:
  2. ALTERA UART sopc 软核的VHDL描述-ALTERA UART VHDL DESCRIBE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9171
    • 提供者:pengli
  1. uart-vhdl

    0下载:
  2. 不错的uart总线程序,已经测试过,没有问题啊-Good uart bus program, has been tested, there is no problem ah
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3677
    • 提供者:wdc
  1. UART-VHDL-design-

    0下载:
  2. 设计的VHDL串口实例,感觉还不错,可以拿来借鉴和修改啊!-Design VHDL serial instance, I feel pretty good, can be used to draw and modify ah!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:146205
    • 提供者:韩非
  1. UART-VHDL-Example-Code-for-an-FPGA-or-ASIC-from-n

    0下载:
  2. UART code using VHDL for FPGA or ASIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:10136
    • 提供者:dani
  1. URAT-VHDL

    0下载:
  2. vhdl版本的uart收发程序,方便实用-uart vhdl rx/tx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:33266
    • 提供者:gone
  1. UART VHDL

    0下载:
  2. UART RS232 VHDK DEVELOPMENT
  3. 所属分类:VHDL编程

    • 发布日期:2015-12-10
    • 文件大小:281798
    • 提供者:Sherlock221B
  1. uart

    0下载:
  2. VHDL CODE FOR UART IN DEEP MODIFIED
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:290816
    • 提供者:ranveer
« 1 2 3 4 5 67 8 9 10 11 ... 23 »
搜珍网 www.dssz.com